Trending

High energy costs are forcing factories across Europe to stop production

Europe's Shortage of Energy Shortage The high prices for energy in Europe have forced factories to shut down all over the world. The industrial production in Europe experienced the biggest drop in production in the past two years. The situation is i…

More>>

What is the Silicon Nitride used for?

Silicon nitride is used as high-grade refractory material, such as SI3N4-SIC refractory combined with sic for blast furnace shaft and other parts…

More>>

What is Zirconium Diboride used for?

Zirconium boride has the properties of low density, high melting point, high hardness, high specific strength, high specific stiffness, good thermal conductivity, excellent electrical conductivity, excellent ablative resistance, and oxidation resista…

More>>

What is Silicon nitride used for?

Silicon nitride is a kind of ceramic material with excellent thermal and chemical properties, which has high hardness, stable structure, low coefficient of thermal expansion, good oxidation resistance and corrosion resistance.…

More>>

Short - term demand for iron ore relatively exuberant thermal conductivity of al 6061 market impact

According to data statistics in September, black commodity prices as a whole show the first inhibition followed by upward trend, September 21 the Federal Reserve interest rate policy landing is an important time node. On the one hand, due to the impa…

More>>

Short - term demand for iron ore relatively exuberant astm a182 market impact

According to data statistics in September, black commodity prices as a whole show the first inhibition followed by upward trend, September 21 the Federal Reserve interest rate policy landing is an important time node. On the one hand, due to the impa…

More>>

What is silicon dioxide used for?

Silicon dioxide is the most abundant mineral in the earth's crust. It is found on all continents in forms ranging from fine powders to huge rock crystals.…

More>>

Performance Comparison of Crucibles for OLED Evaporation: Tantalum, Graphite and Boron nitride

When it comes to display technology, in addition to the traditional LCD and LED liquid crystal display screen, OLED (organic light emitting diode) display technology has become a new mainstream.…

More>>

What is SiO2 nanoparticles used for?

Europe's largest economy, Germany, recently activated an emergency plan to manage gas supplies that the natural gas could be rationed if supplies are disrupted or disrupted by a stand-off over Russian demands to pay for fuel in rubles. German Vice-C…

More>>

What are Silica Nanoparticles used for?

Nano silica can be used as electronic packaging materials…

More>>

The rising risk of global stagflation is also having an impact on the market for 0.2 ml thin walled pcr tubes

Global inflation is running at its fastest pace in decades this year as high and persistently volatile commodity prices, coupled with the impact of the Russia-Ukraine conflict on slowing global growth, have significantly slowed economic growth and th…

More>>

The COVID-19 has pushed tens of thousands of people out of the workforce, which has a certain impact on the beautiful bhutan

The COVID-19 pandemic has pushed tens of thousands of people out of the workforce, which also has a certain impact on the beautiful bhutan On Monday (local time), the National Insti…

More>>

Related news

Why can high-purity graphite conduct electricity

What does high-purity graphite look like? High-purity, or high-carbon graphite powder, is high-quality graphite with a high level of purity. This is why it's called high-purity graphite powder. It has higher conductivity and temperature resistance th…

More>>

MS-1 Alkylphenol Ether Sulfosuccinate Sodium Salt

Solvable in hot and general solvents. Good emulsification properties, good dispersibility and wettability. MS-1 Alkylphenol Sulfosuccinate, Sodium Salt MS-1 Alkylphenol Sulfosuccinate Salt has the following characteristics: rich and fine foam; low…

More>>

Disodium coco-glucoside citrate, 30%

It is an anionic mild surfactant that has emulsifying qualities, resulting in a rich, stable foam.Solid Content: 30 % Disodium Coco-Glucoside Citrate: The foam produced by Disodium Coco-Glucoside Citrate is stable and rich. It is a global truste…

More>>

High Purity 3D Printing Nickel Alloy IN718 Powder

Metal Alloy 8.92g/Cm3 High Purity Polished Copper Plate

High Purity Germanium Sulfide GeS2 Powder CAS 12025-34-2, 99.99%

Metal Alloy 18g/cm3 High Density Tungsten Alloy Ball

Metal Alloy 18.5g/cm3 Polished Tungsten Heavy Alloy Plate

High Purity Molybdenum Boride MoB2 Powder CAS 12006-99-4, 99%

High Purity Antimony Sulfide Sb2S3 Powder CAS 1314-87-0, 99.99%

High Purity Nano Hafnium Hf powder CAS 7440-58-6, 99%

Metal Alloy High Density Tungsten Alloy Rod Grind Surface Tungsten Alloy Bar

High Purity Chromium Diboride CrB2 Powder CAS 12007-16-8, 99%

High Purity Vanadium Boride VB2 Powder CAS 12007-37-3, 99%

High Purity Tungsten Silicide WSi2 Powder CAS 12039-88-2, 99%

High Purity Calcium Nitride Ca3N2 Powder CAS 12013-82-0, 99.5%

High Purity 3D Printing Nickel-based Alloy IN738 Powder

High Purity Titanium Sulfide TiS2 Powder CAS 2039-13-3, 99.99%

High Purity 3D Printing Powder 15-5 Stainless Steel Powder

High Purity Nano Ag Silver powder cas 7440-22-4, 99%

High Purity Zirconium Nitride ZrN Powder CAS 25658-42-8, 99.5%

High Purity Titanium Nitride TiN Powder CAS 25583-20-4, 99.5%

Supply Magnesium Granules Mg Granules 99.95%