Trending

New Discovery of Semiconductor Chip Heat Dissipation Hexagonal Boron Nitride Seamless Growth on the Surface of Materials

Hexagonalboron nitride, also known as white graphite, has a layered structure that is similar to graphite. It has excellent lubricity, thermal conductivity and electrical insulation, as well as chemical resistance. It is chemically inert to all forms…

More>>

Why calcium hydride is used as a reducing agent in organic synthesis

What does calcium hydride mean? Calcium hydride, a common chemical agent with a chemical composition of CaH2 (molecular weight 42.10). A grayish-white block or crystal that is easily deliquescent can be used to reduce, desiccant and chemical analysis…

More>>

Diamond powder the electrical insulating material with the highest thermal conductivity in nature

What does diamond powder look like? A diamond particle larger than 54 microns is called diamond powder. It includes single crystal diamond powder and polycrystalline diamond dust. The industry's standard term for diamond powder is single crystal. Thi…

More>>

High -purity graphite of the corrosion resistance

What does high-purity graphite look like? Because of its high carbon content and the small size of high purity graphite, high-purity powder has excellent electrical conductivity. They also have high high-temperature resistance. High-purity graphite d…

More>>

Why sodium silicate powder can buffer the alkalinity of laundry soap

What does sodium silicate Powder mean? The molecular form of sodium silicate (also called sodium silicate), is Na2O.nSiO2 *xH2O. Properties of sodium silicate depend on the molecular ratio of silica to sodium oxide. The modulus of the molecule's sili…

More>>

How to use sodium silicate powder for synthetic detergents

What does sodium silicate Powder mean? The sodium silicate can also be used in the manufacture of sodium metasilicate, or liquid glass. The melting point of sodium silicate, which has high commercial use for fire protection and many other purposes is…

More>>

Analysis of the importance of gallium nitride

What is gallium nitride?Gallium nitride is commonly utilized in the beginning of brand-new power automobiles, rail transit, clever power grid, semiconductor lighting, new generation mobile communication and other areas. It is called third-generation…

More>>

How to improve nanoparticles to make them more superior nanomaterials

Jumble of nanoparticlesThe pile of nanoparticles can be split into 2 kinds: soft agglomeration as well as hard agglomeration. Soft load is generally caused by the electrostatic force in between fragments and also van der Waals pressure. Due to the we…

More>>

What is the role of Boron

Are you acquainted with Boron?Boron has the largest volumetric warm, as well as its gravimetric warm is second only to beryllium. Somewhat soluble in nitric acid, insoluble in water. Amorphous boron is chemically energetic. Powder and also air can de…

More>>

What is the application of zinc stearate powder

What does zinc stearate mean? Zinc stearate It is organic matter. The chemical formula C36H70O4Zn describes a white, insoluble powder. This rubber is used principally as a lubricant/release agent for styrene, phenolic and amine resins. Rubber can…

More>>

The role of redispersible latex powder in mortar

RDP powder can increase mortar strength and durability as well as stability. RDP powder can be used to produce mortars with enough strength for impact resistance. 3. They're stable. They are easily transferred to sticky surfaces. In order to make adh…

More>>

EHES Sodium 2-ethylhexyl Ether Sulfate, 50%

EHES Sodium 2ethyl Ether Sulfate exhibits excellent dispersion and decontamination performance, resistance to hard and easy water and high permeability.Solid Content >= 50% EHES is Sodium 2-ethylhexyl Ether Sulfate EHES Sodium 2Ethyl Ether Sulfat…

More>>

Related news

What is Zinc Stearate Emulsion Used For?

The U.S. and its allies plan to impose sanctions on more Russian industries and supply chains.The US government representatives recently visited Europe to consult with allies on strengthening and enforcing sanctions to punish Russia. They also plan t…

More>>

Foam concrete used as sound-absorbing and energy-absorbing material

The open-cell foam concrete is an excellent sound absorption material, while the closed-cell foam concrete is an excellent sound insulation material.…

More>>

Market Trend and Demand The international price of oil is back on the rise Will Affact the Price of Molybdenum disilicide

In recent days, international oil prices have returned to an upward trend. Since April, the prices of Brent crude oil and WTI crude oil have both increased by more than 7%, which not only completely recovered the decline in March, but also increased…

More>>

High Purity 3D Printing Nickel Alloy IN718 Powder

Metal Alloy 8.92g/Cm3 High Purity Polished Copper Plate

High Purity Germanium Sulfide GeS2 Powder CAS 12025-34-2, 99.99%

Metal Alloy 18g/cm3 High Density Tungsten Alloy Ball

Metal Alloy 18.5g/cm3 Polished Tungsten Heavy Alloy Plate

High Purity Molybdenum Boride MoB2 Powder CAS 12006-99-4, 99%

High Purity Antimony Sulfide Sb2S3 Powder CAS 1314-87-0, 99.99%

High Purity Nano Hafnium Hf powder CAS 7440-58-6, 99%

Metal Alloy High Density Tungsten Alloy Rod Grind Surface Tungsten Alloy Bar

High Purity Chromium Diboride CrB2 Powder CAS 12007-16-8, 99%

High Purity Vanadium Boride VB2 Powder CAS 12007-37-3, 99%

High Purity Tungsten Silicide WSi2 Powder CAS 12039-88-2, 99%

High Purity Calcium Nitride Ca3N2 Powder CAS 12013-82-0, 99.5%

High Purity 3D Printing Nickel-based Alloy IN738 Powder

High Purity Titanium Sulfide TiS2 Powder CAS 2039-13-3, 99.99%

High Purity 3D Printing Powder 15-5 Stainless Steel Powder

High Purity Nano Ag Silver powder cas 7440-22-4, 99%

High Purity Zirconium Nitride ZrN Powder CAS 25658-42-8, 99.5%

High Purity Titanium Nitride TiN Powder CAS 25583-20-4, 99.5%

Supply Magnesium Granules Mg Granules 99.95%